You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
ziglings/patches/patches/086_async3.patch

10 lines
193 B
Diff

16c16,21
< ???
---
> // Silly solution. You can also use a loop.
> resume foo_frame;
> resume foo_frame;
> resume foo_frame;
> resume foo_frame;
> resume foo_frame;